본문 바로가기

Semiconductor/System Verilog

Data Types

 Verilog에는 'reg'와 'wire' 타입이 존재한다. 하드웨어 검증이 더욱 복잡해지고 많은 것들을 요구함에 따라 효율적인 테스트벤치를 구동하기 위하여 Verilog의 데이터 타입은 충분하지 않게 되었다. 이러한 이유로 SystemVerilog는 C언어와 같은 언어를 참고하여 데이터 타입을 확장시켰다. 

'Semiconductor > System Verilog' 카테고리의 다른 글

SystemVerilog  (0) 2020.11.23